Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

Energy-Optimal Dynamic Thermal Management: Computation and Cooling Power Co-Optimization

Full metadata record
DC Field Value Language
dc.contributor.authorShin, Donghwa-
dc.contributor.authorChung, Sung Woo-
dc.contributor.authorChung, Eui-Young-
dc.contributor.authorChang, Naehyuck-
dc.date.accessioned2021-09-08T01:07:57Z-
dc.date.available2021-09-08T01:07:57Z-
dc.date.created2021-06-14-
dc.date.issued2010-08-
dc.identifier.issn1551-3203-
dc.identifier.urihttps://scholar.korea.ac.kr/handle/2021.sw.korea/115932-
dc.description.abstractConventional dynamic thermal management (DTM) assumes that the thermal resistance of a heat-sink is a given constant determined at design time. However, the thermal resistance of a common forced-convection heat sink is inversely proportional to the flow rate of the air or coolant at the expense of the cooling power consumption. The die temperature of the silicon devices strongly affects its leakage power consumption and reliability, and it can be changed by adjusting the thermal resistance of the cooling devices. Different from conventional DTM which aims to avoid the thermal emergency, our proposed DTM regards the thermal resistance of a forced-convection heat sink as a control variable, and minimize the total power consumption both for computation and cooling. We control the cooling power consumption together with the microprocessor clock frequency and supply voltage, and track the energy-optimal die temperature. Consequently, we reduce a significant amount of the temperature-dependent leakage power consumption of the microprocessor while spending a bit higher cooling power than conventional DTM, and eventually consume less total power. Experimental results show the proposed DTM saves up to 8.2% of the total energy compared with a baseline DTM approach. Our proposed DTM also enhances the Failures in Time (FIT) up to 80% in terms of the electromigration lifetime reliability.-
dc.languageEnglish-
dc.language.isoen-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.subjectTEMPERATURE-
dc.subjectPERFORMANCE-
dc.titleEnergy-Optimal Dynamic Thermal Management: Computation and Cooling Power Co-Optimization-
dc.typeArticle-
dc.contributor.affiliatedAuthorChung, Sung Woo-
dc.identifier.doi10.1109/TII.2010.2052059-
dc.identifier.scopusid2-s2.0-77955706086-
dc.identifier.wosid000284008200009-
dc.identifier.bibliographicCitationIEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS, v.6, no.3, pp.340 - 351-
dc.relation.isPartOfIEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS-
dc.citation.titleIEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS-
dc.citation.volume6-
dc.citation.number3-
dc.citation.startPage340-
dc.citation.endPage351-
dc.type.rimsART-
dc.type.docTypeArticle-
dc.description.journalClass1-
dc.description.journalRegisteredClassscie-
dc.description.journalRegisteredClassscopus-
dc.relation.journalResearchAreaAutomation & Control Systems-
dc.relation.journalResearchAreaComputer Science-
dc.relation.journalResearchAreaEngineering-
dc.relation.journalWebOfScienceCategoryAutomation & Control Systems-
dc.relation.journalWebOfScienceCategoryComputer Science, Interdisciplinary Applications-
dc.relation.journalWebOfScienceCategoryEngineering, Industrial-
dc.subject.keywordPlusTEMPERATURE-
dc.subject.keywordPlusPERFORMANCE-
dc.subject.keywordAuthorDynamic thermal management (DTM)-
dc.subject.keywordAuthorheat sink-
dc.subject.keywordAuthorliquid cooling-
dc.subject.keywordAuthorreliability-
dc.subject.keywordAuthortemperature-dependent leakage power-
Files in This Item
There are no files associated with this item.
Appears in
Collections
Graduate School > Department of Computer Science and Engineering > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Altmetrics

Total Views & Downloads

BROWSE