Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

Filter cache: filtering useless cache blocks for a small but efficient shared last-level cache

Full metadata record
DC Field Value Language
dc.contributor.authorBae, Han Jun-
dc.contributor.authorChoi, Lynn-
dc.date.accessioned2021-08-30T13:01:59Z-
dc.date.available2021-08-30T13:01:59Z-
dc.date.created2021-06-18-
dc.date.issued2020-10-
dc.identifier.issn0920-8542-
dc.identifier.urihttps://scholar.korea.ac.kr/handle/2021.sw.korea/52663-
dc.description.abstractAlthough the shared last-level cache (SLLC) occupies a significant portion of multicore CPU chip die area, more than 59% of SLLC cache blocks are not reused during their lifetime. If we can filter out these useless blocks from SLLC, we can effectively reduce the size of SLLC without sacrificing performance. For this purpose, we classify the reuse of cache blocks into temporal and spatial reuse and further analyze the reuse by using reuse interval and reuse count. From our experimentation, we found that most of spatially reused cache blocks are reused only once with short reuse interval, so it is inefficient to manage them in SLLC. In this paper, we propose a new small additional cache called Filter Cache to the SLLC, which cannot only check the temporal reuse but also can prevent spatially reused blocks from entering the SLLC. Thus, we do not maintain data for non-reused blocks and spatially reused blocks in the SLLC, dramatically reducing the size of the SLLC. Through our detailed simulation on PARSEC benchmarks, we show that our new SLLC design with Filter Cache exhibits comparable performance to the conventional SLLC with only 24.21% of SLLC area across a variety of different workloads. This is achieved by its faster access and high reuse rates in the small SLLC with Filter Cache.-
dc.languageEnglish-
dc.language.isoen-
dc.publisherSPRINGER-
dc.titleFilter cache: filtering useless cache blocks for a small but efficient shared last-level cache-
dc.typeArticle-
dc.contributor.affiliatedAuthorChoi, Lynn-
dc.identifier.doi10.1007/s11227-020-03177-2-
dc.identifier.scopusid2-s2.0-85078739952-
dc.identifier.wosid000510074700004-
dc.identifier.bibliographicCitationJOURNAL OF SUPERCOMPUTING, v.76, no.10, pp.7521 - 7544-
dc.relation.isPartOfJOURNAL OF SUPERCOMPUTING-
dc.citation.titleJOURNAL OF SUPERCOMPUTING-
dc.citation.volume76-
dc.citation.number10-
dc.citation.startPage7521-
dc.citation.endPage7544-
dc.type.rimsART-
dc.type.docTypeArticle-
dc.description.journalClass1-
dc.description.journalRegisteredClassscie-
dc.description.journalRegisteredClassscopus-
dc.relation.journalResearchAreaComputer Science-
dc.relation.journalResearchAreaEngineering-
dc.relation.journalWebOfScienceCategoryComputer Science, Hardware & Architecture-
dc.relation.journalWebOfScienceCategoryComputer Science, Theory & Methods-
dc.relation.journalWebOfScienceCategoryEngineering, Electrical & Electronic-
dc.subject.keywordAuthorShared last-level cache-
dc.subject.keywordAuthorReuse rate-
dc.subject.keywordAuthorTemporal reuse-
dc.subject.keywordAuthorSpatial reuse-
dc.subject.keywordAuthorMulticore CPU-
dc.subject.keywordAuthorCache organization-
Files in This Item
There are no files associated with this item.
Appears in
Collections
College of Engineering > School of Electrical Engineering > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Altmetrics

Total Views & Downloads

BROWSE