Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

Hi-End: Hierarchical, Endurance-Aware STT-MRAM-Based Register File for Energy-Efficient GPUs

Full metadata record
DC Field Value Language
dc.contributor.authorJeon, Won-
dc.contributor.authorPark, Jun Hyun-
dc.contributor.authorKim, Yoonsoo-
dc.contributor.authorKoo, Gunjae-
dc.contributor.authorRo, Won Woo-
dc.date.accessioned2021-08-31T16:00:41Z-
dc.date.available2021-08-31T16:00:41Z-
dc.date.created2021-06-19-
dc.date.issued2020-
dc.identifier.issn2169-3536-
dc.identifier.urihttps://scholar.korea.ac.kr/handle/2021.sw.korea/58950-
dc.description.abstractModern Graphics Processing Units (GPUs) require large hardware resources for massive parallel thread executions. In particular, modern GPUs have a large register file composed of Static Random Access Memory (SRAM). Due to the high leakage current of SRAM, the register file consumes approximately 20% of the total GPU energy. The energy efficiency of the register file becomes more critical as the throughput of GPUs increases. For more energy-efficient GPUs, the usage of non-volatile memory such as Spin-Transfer Torque Magnetic Random Access Memory (STT-MRAM) as the GPU register file has been studied extensively. STT-MRAM requires a lower leakage current compared to SRAM and provides an appropriate read performance. However, using STT-MRAM directly in the GPU register file causes problems in performance and endurance because of complicated write procedures and material characteristics. To overcome these challenges, we propose a novel register file architecture and its management system for GPUs, named Hi-End, which exploits the data locality and compressibility of the register file. For STT-MRAM-based GPU register files, Hi-End increases the data write performance and endurance by caching and data compression, respectively. In our evaluation, Hi-End enhances the energy efficiency of a GPU register file by 70.02% and reduces the write operations by up to 95.98% with negligible performance degradation compared to SRAM-based register files.-
dc.languageEnglish-
dc.language.isoen-
dc.publisherIEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC-
dc.subjectRAM-
dc.titleHi-End: Hierarchical, Endurance-Aware STT-MRAM-Based Register File for Energy-Efficient GPUs-
dc.typeArticle-
dc.contributor.affiliatedAuthorKoo, Gunjae-
dc.identifier.doi10.1109/ACCESS.2020.3008719-
dc.identifier.scopusid2-s2.0-85089217359-
dc.identifier.wosid000551825500001-
dc.identifier.bibliographicCitationIEEE ACCESS, v.8, pp.127768 - 127780-
dc.relation.isPartOfIEEE ACCESS-
dc.citation.titleIEEE ACCESS-
dc.citation.volume8-
dc.citation.startPage127768-
dc.citation.endPage127780-
dc.type.rimsART-
dc.type.docTypeArticle-
dc.description.journalClass1-
dc.description.journalRegisteredClassscie-
dc.description.journalRegisteredClassscopus-
dc.relation.journalResearchAreaComputer Science-
dc.relation.journalResearchAreaEngineering-
dc.relation.journalResearchAreaTelecommunications-
dc.relation.journalWebOfScienceCategoryComputer Science, Information Systems-
dc.relation.journalWebOfScienceCategoryEngineering, Electrical & Electronic-
dc.relation.journalWebOfScienceCategoryTelecommunications-
dc.subject.keywordPlusRAM-
dc.subject.keywordAuthorGraphics processing unit-
dc.subject.keywordAuthorregister file-
dc.subject.keywordAuthorspin-transfer torque magnetic random access memory-
dc.subject.keywordAuthordata compression-
dc.subject.keywordAuthorenergy efficiency-
dc.subject.keywordAuthorendurance-
dc.subject.keywordAuthorchip area-
Files in This Item
There are no files associated with this item.
Appears in
Collections
Graduate School > Department of Computer Science and Engineering > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Altmetrics

Total Views & Downloads

BROWSE