Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

Exploration of temperature-aware refresh schemes for 3D stacked eDRAM caches

Full metadata record
DC Field Value Language
dc.contributor.authorGong, Young-Ho-
dc.contributor.authorKim, Jae Min-
dc.contributor.authorLim, Sung Kyu-
dc.contributor.authorChung, Sung Woo-
dc.date.accessioned2021-09-04T00:08:23Z-
dc.date.available2021-09-04T00:08:23Z-
dc.date.created2021-06-18-
dc.date.issued2016-05-
dc.identifier.issn0141-9331-
dc.identifier.urihttps://scholar.korea.ac.kr/handle/2021.sw.korea/88767-
dc.description.abstractRecent studies have shown that embedded DRAM (eDRAM) is a promising approach for 3D stacked last level caches (LLCs) rather than SRAM due to its advantages over SRAM; (i) eDRAM occupies less area than SRAM due to its smaller bit cell size; and (ii) eDRAM has much less leakage power and access energy than SRAM, since it has much smaller number of transistors than SRAM. However, different from SRAM cells, eDRAM cells should be refreshed periodically in order to retain the data. Since refresh operations consume noticeable amount of energy, it is important to adopt appropriate refresh interval, which is highly dependent on the temperature. However, the conventional refresh method assumes the worst-case temperature for all eDRAM stacked cache banks, resulting in unnecessarily frequent refresh operations. In this paper, we propose a novel temperature-aware refresh scheme for 3D stacked eDRAM caches. Our proposed scheme dynamically changes refresh interval depending on the temperature of eDRAM stacked last-level cache (LLC). Compared to the conventional refresh method, our proposed scheme reduces the number of refresh operations of the eDRAM stacked LLC by 28.5% (on 32 MB eDRAM LLC), on average, with small area overhead. Consequently, our proposed scheme reduces the overall eDRAM LLC energy consumption by 12.5% (on 32 MB eDRAM LLC), on average. (C) 2016 Elsevier B.V. All rights reserved.-
dc.languageEnglish-
dc.language.isoen-
dc.publisherELSEVIER SCIENCE BV-
dc.subjectDESIGN-
dc.subjectENERGY-
dc.subjectPOWER-
dc.subjectDRAM-
dc.subjectPERFORMANCE-
dc.subjectTIME-
dc.subjectSRAM-
dc.titleExploration of temperature-aware refresh schemes for 3D stacked eDRAM caches-
dc.typeArticle-
dc.contributor.affiliatedAuthorChung, Sung Woo-
dc.identifier.doi10.1016/j.micpro.2016.01.010-
dc.identifier.scopusid2-s2.0-84968324813-
dc.identifier.wosid000375336900008-
dc.identifier.bibliographicCitationMICROPROCESSORS AND MICROSYSTEMS, v.42, pp.100 - 112-
dc.relation.isPartOfMICROPROCESSORS AND MICROSYSTEMS-
dc.citation.titleMICROPROCESSORS AND MICROSYSTEMS-
dc.citation.volume42-
dc.citation.startPage100-
dc.citation.endPage112-
dc.type.rimsART-
dc.type.docTypeArticle-
dc.description.journalClass1-
dc.description.journalRegisteredClassscie-
dc.description.journalRegisteredClassscopus-
dc.relation.journalResearchAreaComputer Science-
dc.relation.journalResearchAreaEngineering-
dc.relation.journalWebOfScienceCategoryComputer Science, Hardware & Architecture-
dc.relation.journalWebOfScienceCategoryComputer Science, Theory & Methods-
dc.relation.journalWebOfScienceCategoryEngineering, Electrical & Electronic-
dc.subject.keywordPlusDESIGN-
dc.subject.keywordPlusENERGY-
dc.subject.keywordPlusPOWER-
dc.subject.keywordPlusDRAM-
dc.subject.keywordPlusPERFORMANCE-
dc.subject.keywordPlusTIME-
dc.subject.keywordPlusSRAM-
dc.subject.keywordAuthorCache-
dc.subject.keywordAuthoreDRAM-
dc.subject.keywordAuthorRefresh interval-
dc.subject.keywordAuthor3D Microprocessors-
dc.subject.keywordAuthorTemperature-
Files in This Item
There are no files associated with this item.
Appears in
Collections
Graduate School > Department of Computer Science and Engineering > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Altmetrics

Total Views & Downloads

BROWSE