Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

Comparative study on the properties of amorphous carbon layers deposited from 1-hexene and propylene for dry etch hard mask application in semiconductor device manufacturing

Authors
Lee, SeungmooWon, JaihyungChoi, JongsikPark, JihunJee, YeonhongLee, HyeondeokByun, Dongjin
Issue Date
1-8월-2011
Publisher
ELSEVIER SCIENCE SA
Keywords
ACL; PECVD; C6H12; Dry etch; Hard mask; Semiconductor
Citation
THIN SOLID FILMS, v.519, no.20, pp.6683 - 6687
Indexed
SCIE
SCOPUS
Journal Title
THIN SOLID FILMS
Volume
519
Number
20
Start Page
6683
End Page
6687
URI
https://scholar.korea.ac.kr/handle/2021.sw.korea/111830
DOI
10.1016/j.tsf.2011.04.077
ISSN
0040-6090
Abstract
Amorphous carbon layers (ACLs) were prepared by plasma enhanced chemical vapor deposition (PECVD) from 1-hexene (C6H12) and propylene (C3H6) as a carbon source at different temperatures for dry etch hard mask of semiconductor devices manufacturing process. The deposition rate of ACL deposited at 550 degrees C from C6H12 and C3H6 was 5050 angstrom/min and 6360 angstrom/min. Although the deposition rate of ACL deposited from C6H6 was lower than that from C3H6, normalized deposition rate of ACL deposited from C6H12 was 1.64 times higher than that from C3H6. The relative amount of hydrocarbon contents measured by FTIR (Fourier transformation infrared) and TDS (thermal desorption spectroscopy) was decreased with the increase of deposition temperature. Raman results showed that the numbers and size of graphite cluster of ACLs deposited from each source were increased with the increase of deposition temperature. The extinction coefficient of ACL deposited at 550 degrees C from C6H12 was 0.51 and that from C3H6 was 0.48. The density of ACL deposited at 550 degrees C from C6H12 was 1.48 g/cm(3) and that from C3H6 was 1.45 g/cm(3). The dry etching rate of ACL deposited at 550 degrees C from C6H12 was 1770 angstrom/min and that from C3H6 was 1840 angstrom/min. The deposition rate, dry etch rate and the amount of hydrocarbon contents of ACLs deposited from each carbon source were decreased with the increase of deposition temperature but extinction coefficient and density were increased with the increase of deposition temperature. We concluded that the variation behavior of the deposition characteristics and film properties of ACLs from C6H12 with the increase of deposition temperature was the same as those of ACLs from C3H6. The high density and low dry etch rate of ACL from C6H12 can be explained by less hydrocarbon incorporation during deposition and these properties are more favorable for the dry etch hard mask application in semiconductor device fabrication. Crown Copyright (C) 2011 Published by Elsevier B.V. All rights reserved.
Files in This Item
There are no files associated with this item.
Appears in
Collections
College of Engineering > Department of Materials Science and Engineering > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Related Researcher

Researcher BYUN, Dong Jin photo

BYUN, Dong Jin
공과대학 (신소재공학부)
Read more

Altmetrics

Total Views & Downloads

BROWSE