Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

Vertical Gate-All-Around Device Architecture to Improve the Device Performance for Sub-5-nm Technology

Full metadata record
DC Field Value Language
dc.contributor.authorNoh, Changwoo-
dc.contributor.authorHan, Changwoo-
dc.contributor.authorWon, Sang Min-
dc.contributor.authorShin, Changhwan-
dc.date.accessioned2022-10-06T09:01:17Z-
dc.date.available2022-10-06T09:01:17Z-
dc.date.created2022-10-06-
dc.date.issued2022-09-
dc.identifier.issn2072-666X-
dc.identifier.urihttps://scholar.korea.ac.kr/handle/2021.sw.korea/144096-
dc.description.abstractIn this work, we propose a vertical gate-all-around device architecture (GAA-FinFET) with the aim of simultaneously improving device performance as well as addressing the short channel effect (SCE). The GAA-FinFET was built using the technology computer-aided design (TCAD) simulation tool, and then, its electrical characteristics were quantitatively evaluated. The electrical characteristics of the GAA-FinFET were compared to those of conventional FinFET and nano-sheet FET (NSFET) at 7 nm or 5 nm nodes. When comparing the GAA-FinFET against the FinFET, it achieved not only better SCE characteristics, but also higher on-state drive current due to its gate-all-around device structure. This helps to improve the ratio of effective drive current to off-state leakage current (i.e., I-eff/I-off) by similar to 30%, resulting in an improvement in DC device performance by similar to 10%. When comparing the GAA-FinFET against the NSFET, it exhibited SCE characteristics that were comparable or superior thanks to its improved sub-channel leakage suppression. It turned out that the proposed GAA-FinFET (compared to conventional FinFET at the 7 nm or 5 nm nodes, or even beyond) is an attractive option for improving device performance in terms of SCE and series resistance. Furthermore, it is expected that the device structure of GAA-FinFET is very similar to that of conventional FinFET, resulting in further improvement to its electrical characteristics as a result of its gate-all-around device structure without significant modification with respect to the processing steps for conventional FinFET.-
dc.languageEnglish-
dc.language.isoen-
dc.publisherMDPI-
dc.titleVertical Gate-All-Around Device Architecture to Improve the Device Performance for Sub-5-nm Technology-
dc.typeArticle-
dc.contributor.affiliatedAuthorShin, Changhwan-
dc.identifier.doi10.3390/mi13091551-
dc.identifier.scopusid2-s2.0-85138731780-
dc.identifier.wosid000858928400001-
dc.identifier.bibliographicCitationMICROMACHINES, v.13, no.9-
dc.relation.isPartOfMICROMACHINES-
dc.citation.titleMICROMACHINES-
dc.citation.volume13-
dc.citation.number9-
dc.type.rimsART-
dc.type.docTypeArticle-
dc.description.journalClass1-
dc.description.isOpenAccessY-
dc.description.journalRegisteredClassscie-
dc.description.journalRegisteredClassscopus-
dc.relation.journalResearchAreaChemistry-
dc.relation.journalResearchAreaScience & Technology - Other Topics-
dc.relation.journalResearchAreaInstruments & Instrumentation-
dc.relation.journalResearchAreaPhysics-
dc.relation.journalWebOfScienceCategoryChemistry, Analytical-
dc.relation.journalWebOfScienceCategoryNanoscience & Nanotechnology-
dc.relation.journalWebOfScienceCategoryInstruments & Instrumentation-
dc.relation.journalWebOfScienceCategoryPhysics, Applied-
dc.subject.keywordAuthorFinFET-
dc.subject.keywordAuthorMOSFET-
dc.subject.keywordAuthornano-sheet FET-
dc.subject.keywordAuthorshort channel effect-
dc.subject.keywordAuthorvertical gate-all-around-
Files in This Item
There are no files associated with this item.
Appears in
Collections
College of Engineering > School of Electrical Engineering > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Related Researcher

Researcher Shin, Changhwan photo

Shin, Changhwan
공과대학 (전기전자공학부)
Read more

Altmetrics

Total Views & Downloads

BROWSE