Detailed Information

Cited 0 time in webofscience Cited 0 time in scopus
Metadata Downloads

EPSim-C: A Parallel Epoch-Based Cycle-Accurate Microarchitecture Simulator Using Cloud Computing

Full metadata record
DC Field Value Language
dc.contributor.authorKim, Minseong-
dc.contributor.authorKim, Seon Wook-
dc.contributor.authorHan, Youngsun-
dc.date.accessioned2021-09-01T14:35:19Z-
dc.date.available2021-09-01T14:35:19Z-
dc.date.created2021-06-19-
dc.date.issued2019-06-
dc.identifier.issn2079-9292-
dc.identifier.urihttps://scholar.korea.ac.kr/handle/2021.sw.korea/65253-
dc.description.abstractRecently, computing platforms have been being configured on a large scale to satisfy the diverse requirements of emerging applications like big data and graph processing, neural network, speech recognition and so on. In these computing platforms, each computing node consists of a multicore, an accelerator, and a complex memory hierarchy, which are connected to other nodes using a variety of high-performance networks. Up to now, researchers have been using cycle-accurate simulators to evaluate the performance of computer systems in detail. However, the execution of the simulators, which models modern computing architecture for multi-core, multi-node, datacenter, memory hierarchy, new memory, and new interconnection, is too slow and infeasible; since the architecture has become more complex today, the complexity of the simulator is rapidly increasing. Therefore, it is seriously challenging to employ them in the research and development of next-generation computer systems. To solve this problem, we previously presented EPSim (Epoch-based Simulator), which defines epochs that can be run independently by dividing the simulation run into several sections and executes them in parallel on a multicore platform, resulting in only the limited simulation speedup. In this paper, to overcome the computing resource limitations on multi-core platforms, we propose a novel EPSim-C (EPSim on Cloud) simulator that extends EPSim and achieves higher performance using a cloud computing platform. EPSim-C is designed to perform the epoch-based executions in a massively parallel fashion by using MapReduce on Hadoop-based systems. According to our experiments, we have achieved a maximum speed of 87.0x and an average speed of 46.1x using 256 cores. As far as we know, EPSim-C is the only existing way to accelerate the cycle-accurate simulator on cloud platforms; thus, our significant performance enhancement allows researchers to model and research current and future cutting-edge computing platforms using real workloads.-
dc.languageEnglish-
dc.language.isoen-
dc.publisherMDPI-
dc.subjectCHALLENGES-
dc.subjectSYSTEM-
dc.titleEPSim-C: A Parallel Epoch-Based Cycle-Accurate Microarchitecture Simulator Using Cloud Computing-
dc.typeArticle-
dc.contributor.affiliatedAuthorKim, Seon Wook-
dc.identifier.doi10.3390/electronics8060716-
dc.identifier.scopusid2-s2.0-85071182922-
dc.identifier.wosid000475354700122-
dc.identifier.bibliographicCitationELECTRONICS, v.8, no.6-
dc.relation.isPartOfELECTRONICS-
dc.citation.titleELECTRONICS-
dc.citation.volume8-
dc.citation.number6-
dc.type.rimsART-
dc.type.docTypeArticle-
dc.description.journalClass1-
dc.description.journalRegisteredClassscie-
dc.description.journalRegisteredClassscopus-
dc.relation.journalResearchAreaComputer Science-
dc.relation.journalResearchAreaEngineering-
dc.relation.journalResearchAreaPhysics-
dc.relation.journalWebOfScienceCategoryComputer Science, Information Systems-
dc.relation.journalWebOfScienceCategoryEngineering, Electrical & Electronic-
dc.relation.journalWebOfScienceCategoryPhysics, Applied-
dc.subject.keywordPlusCHALLENGES-
dc.subject.keywordPlusSYSTEM-
dc.subject.keywordAuthormicroarchitecture simulation-
dc.subject.keywordAuthorepoch-based execution-
dc.subject.keywordAuthorparallel simulation-
dc.subject.keywordAuthorcloud computing-
Files in This Item
There are no files associated with this item.
Appears in
Collections
College of Engineering > School of Electrical Engineering > 1. Journal Articles

qrcode

Items in ScholarWorks are protected by copyright, with all rights reserved, unless otherwise indicated.

Related Researcher

Researcher Kim, Seon Wook photo

Kim, Seon Wook
College of Engineering (School of Electrical Engineering)
Read more

Altmetrics

Total Views & Downloads

BROWSE